soc.regfile.formal package

Submodules

soc.regfile.formal.proof_regfile module

class soc.regfile.formal.proof_regfile.Driver(writethru=True)

Bases: soc.regfile.regfile.Register

elaborate(platform)
class soc.regfile.formal.proof_regfile.TestCase(methodName='runTest')

Bases: nmutil.formaltest.FHDLTestCase

test_formal()
test_ilang()

soc.regfile.formal.proof_regfile_array module

class soc.regfile.formal.proof_regfile_array.Driver

Bases: soc.regfile.regfile.RegFileArray

elaborate(platform)
class soc.regfile.formal.proof_regfile_array.TestCase(methodName='runTest')

Bases: nmutil.formaltest.FHDLTestCase

test_formal()
test_ilang()

soc.regfile.formal.proof_regfile_binary module

class soc.regfile.formal.proof_regfile_binary.Driver

Bases: soc.regfile.regfile.RegFile

elaborate(platform)
class soc.regfile.formal.proof_regfile_binary.TestCase(methodName='runTest')

Bases: nmutil.formaltest.FHDLTestCase

test_formal()
test_ilang()

Module contents